Eby Friedman

Eby G. Friedman
Friedman in 2008
Born (1957-08-10) 10 August 1957 (age 66)
EducationLafayette College
University of California, Irvine
AwardsIEEE Fellow
IEEE CAS Charles A. Desoer Technical Achievement Award
Fulbright Scholar
University of California, Irvine Engineering Hall of Fame
IEEE CAS Mac Van Valkenburg Award
Scientific career
FieldsElectrical and Computer Engineering
InstitutionsUniversity of Rochester
Technion – Israel Institute of Technology
Hughes Aircraft Company
Doctoral advisorJames H. Mulligan, Jr.
Websitewww.ece.rochester.edu/~friedman

Eby G. Friedman is an electrical engineer, and Distinguished Professor of Electrical and Computer Engineering at the University of Rochester. Friedman is also a visiting professor at the Technion - Israel Institute of Technology. He is a Senior Fulbright Fellow and a Fellow of the IEEE.

Early life and education

Born in Jersey City, New Jersey, in 1957,[1][2] he earned an electrical engineering baccalaureate degree from Lafayette College in 1979, a master's degree (1981) and a doctoral degree (1989) from the University of California, Irvine, also in electrical engineering.[3] Friedman graduated from Snyder High School in Jersey City, New Jersey in 1975. Friedman married his wife Laurie in 1984, and they have two sons.[4]

Career

Friedman's research interests include integrated circuits, VLSI design and analysis, clock synchronization, power delivery, 3-D integration, superconductive single flux quantum circuits, and mixed-signal circuits.[5]

His career began in the Netherlands in 1978, working at Philips Gloeilampen Fabreiken on designing bipolar differential amplifiers.[1] From 1979 to 1991 he worked at Hughes Aircraft Company, developing a large variety of integrated circuits for US military and commercial applications.[6] He joined the Electrical and Computer Engineering faculty at the University of Rochester in 1991.[6]

Friedman became a Fellow of the IEEE in 2000 and a Fulbright Scholar (at the Technion in Israel) in 2001. He received the 2005 William H. Riker University Award for Graduate Teaching at the University of Rochester.[7] In 2012 he became a Distinguished Lecturer of the IEEE CAS Society,[citation needed] and in 2013, he was awarded the Charles A. Desoer Technical Achievement Award,[8]. In October 2015 he was inducted into the University of California, Irvine, Engineering Hall of Fame.[9] He received the IEEE CAS Mac Van Valkenburg award in 2018.[10]

Service

Editing

Friedman is a member of the editorial board of the Journal of Low Power Electronics and Applications.[11] He is a past editor-in-chief and chair of the steering committee for the IEEE Transactions on Very Large Scale Integration (VLSI) Systems,[12] past editor-in-chief of the Microelectronics Journal, as well as past regional editor of the Journal of Circuits, Systems and Computers.[13] He formerly served as a member of several editorial boards: Analog Integrated Circuits and Signal Processing,[13] Journal of VLSI Signal Processing,[citation needed], Proceedings of the IEEE and IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing.[citation needed]

Committee work

Friedman has served multiple IEEE societies and committees: Circuits and Systems (CAS) Society Board of Governors and CAS liaison to the Solid-State Circuits Society (SSCS);[citation needed] past chair of the VLSI Systems and Applications Circuits and Systems Society Technical Committee;[14] and past chair of the Electron Devices Chapter of the Rochester Section.[citation needed]

Selected workshops and conferences

He was General/Program/Technical Co-Chair, for the 1997 International Workshop on Clock Distribution Networks.[15] He has also chaired the following IEEE events: the 2000 Workshop on Signal Processing Systems,[16] the 2003 and 2004 IEEE International Workshop on System-on-Chip for Real-Time Applications,[17] technical program chair of the 2004 IEEE International Conference on Electronics, Circuits, and Systems,[18] the 2006 IEEE International Symposium on Circuits and Systems,[19] and the 2007 IEEE International Symposium on Networks on Chip (NoC).[20]

Publications and patents

Friedman has published almost 600 papers[21] and is co-inventor of 29 patents.[22]

Books

  • Clock Distribution Networks in VLSI Circuits and Systems (IEEE Press, 1995)[23]
  • High Performance Clock Distribution Networks (Kluwer Academic Publishers, 1997)[24]
  • Analog Design Issues in Digital VLSI Circuits and Systems (Kluwer Academic Publishers, 1997)[25]
  • Timing Optimization through Clock Skew Scheduling ( 2000 and 2009)(first and second edition)[25]
  • On-Chip Inductance in High Speed Integrated Circuits (Kluwer Academic Publishers, 2001)[26]
  • Power Distribution Networks in High Speed Integrated Circuits (Kluwer Academic Publishers, 2004)[27]
  • Multi-Voltage CMOS Circuit Design (John Wiley & Sons Press, 2006)[28]
  • Power Distribution Networks with On-Chip Decoupling Capacitors (Springer Verlag, 2008 and 2011)(first and second edition) [29]
  • Three-Dimensional Integrated Circuit Design (Morgan Kaufmann, 2009 and 2017)(first and second edition)[30]
  • High Performance Integrated Circuit Design (McGraw-Hill Publishers, 2012) [31]
  • On-Chip Power Delivery and Management (Springer, 2016)
  • Single Flux Quantum Integrated Circuit Design (Springer, 2022)
  • Graphs in VLSI (Springer, 2023)

Selected articles

  • I. Vaisband, B. Price, S. Kose, Y. Kolla, E. G. Friedman, and J. Fischer, "Distributed LDO Regulators in a 28 nm Power Delivery System," Analog Integrated Circuits and Signal Processing, Volume 83, Issue 3, pp. 295 – 309, 2015.[32]
  • I. Vaisband and E. G. Friedman, "Energy Efficient Clustering of On-Chip Power Delivery Systems," Integration, the VLSI Journal, Volume 48, pp. 1 – 9, 2015.[33]
  • M. Kazemi, E. Ipek, and E. G. Friedman, "Adaptive Compact Magnetic Tunnel Junction Model," IEEE Transactions on Electron Devices, Vol. 61, No. 11, pp. 3883–3891, November 2014.[34]
  • S. Kvatinsky, N. Wald, G. Satat, E. G. Friedman, A. Kolodny, and U. C. Weiser, "Memristor-Based Material Implication (IMPLY) Logic: Design Principles and Methodologies," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 22, No. 10, pp. 2054–2066, October 2014.[35]
  • A. Shapiro and E. G. Friedman, "MOS Current Mode Logic Near Threshold Circuits," Journal on Low Power Electronics and Applications, Volume 4, pp. 138 – 152, 2014.[36]
  • R. Patel, E. Ipek, and E. G. Friedman, "2T - 1R STT-MRAM Memory Cells for Enhanced Sense Margin and On/Off Current Ratio," Microelectronics Journal, Volume 45, Issue 2, pp. 133 – 143, February 2014.[37]
  • S. Kvatinsky, Y. H. Nacson, Y. Etsion, E. G. Friedman, A. Kolodny, and U. C. Weiser, "Memristor-Based Multithreading," IEEE Computer Architecture Letters, Vol. 13, No. 1, pp. 41 – 44, January–June 2014.[38]
  • Friedman, Eby G. "Clock distribution networks in synchronous digital integrated circuits." Proceedings of the IEEE 89.5 (2001): 665-692.[39]
  • Ismail, Yehea, and Eby G. Friedman. "Effects of inductance on the propagation delay and repeater insertion in VLSI circuits." Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 8.2 (2000): 195-206.[40]
  • Ismail, Yehea, Eby G. Friedman, and Jose L. Neves. "Figures of merit to characterize the importance of on-chip inductance." Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 7.4 (1999): 442-449.[41]
  • Hauryla, Mikhail, et al. "On-chip optical interconnect roadmap: challenges and critical directions." Selected Topics in Quantum Electronics, IEEE Journal of12.6 (2006): 1699-1705.[42]

References

  1. ^ a b "Eby G. Friedman's Homepage". www2.ece.rochester.edu. Retrieved 2017-12-13.
  2. ^ Deckert, Andrea. "Researcher, teacher are among the many hats he wears", Rochester Business Journal, April 4, 2008. Accessed March 22, 2023. "He may be a giant in the world of microchip design, but Eby Friedman sees himself as more of a kid from Jersey City.... Friedman was born and raised in Jersey City, N.J. He could see the Statue of Liberty from his high school chemistry class."
  3. ^ "Manhattan Routing Welcomes Eby Friedman, IEEE Fellow and Distinguished Professor at the University of Rochester, to Technical Advisory Board". www.businesswire.com. 2004-06-07. Retrieved 2017-12-13.
  4. ^ "Connecticut Marriage Index, 1959-2012". Ancestry.com. 10 June 1984. Retrieved 2017-12-13.
  5. ^ "Directory: Electrical and Computer Engineering". Ece.rochester.edu. Retrieved 2015-12-17.
  6. ^ a b Friedman, Eby (2009). "Design Challenges in High Performance Three-Dimensional Circuits". Proceedings of the 19th ACM Great Lakes symposium on VLSI - GLSVLSI '09. pp. 281–282. doi:10.1145/1531542.1531545. ISBN 9781605585222. S2CID 1463358.
  7. ^ "William H. Riker University Award for Excellence in Graduate Teaching". www.rochester.edu. Office of the Provost, University of Rochester. Retrieved 2017-12-13.
  8. ^ "Charles A. Desoer Technical Achievement Award | IEEE Circuits and Systems Society". Ieee-cas.org. Archived from the original on 2016-02-23. Retrieved 2015-07-14.
  9. ^ "2015 Hall of Fame Inductees | The Henry Samueli School of Engineering at UC Irvine". engineering.uci.edu. Retrieved 2017-12-13.
  10. ^ "IEEE Circuits and Systems Society Mac Van Valkenburg Award". ieee-cas.org. Retrieved 2018-05-18.
  11. ^ "Editors of JLPEA". MDPI. Retrieved 2017-05-08.
  12. ^ "Past Editors in Chief - IEEE CAS". ieee-cas.org. Retrieved 2017-12-13.
  13. ^ a b "Power Delivery in Heterogeneous Nanoscale Integrated Circuits | EE". www.ee.ucla.edu. August 2017. Retrieved 2017-12-13.
  14. ^ "Officers and Members". ieee-cas.org. Retrieved 2015-07-14.
  15. ^ "Publications search" (PDF). springer.com. Retrieved 2015-07-14. [dead link]
  16. ^ "2000 IEEE Workshop on SiGNAL PROCESSING SYSTEMS. SiPS 2000. Design and Implementation (Cat. No.00TH8528)". 2000 IEEE Workshop on SiGNAL PROCESSING SYSTEMS. IEEE. October 2000. pp. i–. doi:10.1109/SIPS.2000.886697. ISBN 978-0-7803-6488-2.
  17. ^ "4th IEEE International Workshop on System-on-Chip for Real-Time Applications". IEEE. 2004. Retrieved 2015-07-14.
  18. ^ "ICECS 2004 11th IEEE International Conference on Electronics, Circuits and Systems - Call for Participation". IEEE Circuits and Devices Magazine. 20 (2): 47. 2004. doi:10.1109/MCD.2004.1276210.
  19. ^ "Publications search" (PDF). ieee-cas.org. Archived from the original (PDF) on 2016-03-30. Retrieved 2015-07-14.
  20. ^ "Message from the General and Program Chairs". First International Symposium on Networks-on-Chip (NOCS'07). IEEE. 2007. pp. ix. doi:10.1109/NOCS.2007.28. ISBN 978-0-7695-2773-4. {{cite book}}: |website= ignored (help)
  21. ^ "Eby G. Friedman/Publications". Retrieved 2014-07-14.
  22. ^ "Eby G. Friedman/Patents". www2.ece.rochester.edu. Retrieved 2017-12-13.
  23. ^ Eby G. Friedman (1995). Clock distribution networks in VLSI circuits and systems. Institute of Electrical and Electronics Engineers. ISBN 978-0-7803-1058-2.
  24. ^ Eby G. Friedman (6 December 2012). High Performance Clock Distribution Networks. Springer Science & Business Media. ISBN 978-1-4684-8440-3.
  25. ^ a b Juan J. Becerra; Eby G. Friedman (6 December 2012). Analog Design Issues in Digital VLSI Circuits and Systems: A Special Issue of Analog Integrated Circuits and Signal Processing, An International Journal Volume 14, Nos. 1/2 (1997). Springer Science & Business Media. ISBN 978-1-4615-6101-9.
  26. ^ "On-Chip Inductance in High-Speed Integrated Circuits" (PDF). Ece.northwestern.edu. Archived from the original (PDF) on 2015-06-15. Retrieved 2014-07-14.
  27. ^ Mezhiba, Andrey V.; Friedman, Eby G. (2004). Power Distribution Networks in High Speed Integrated Circuits. Springer. doi:10.1007/978-1-4615-0399-6. ISBN 9781402075346.
  28. ^ Kursun, Volkan; Friedman, Eby G. (2006). Multi-Voltage CMOS Circuit Design. doi:10.1002/0470033371. ISBN 9780470033371.
  29. ^ Mikhail Popovich; Andrey V. Mezhiba; Selçuk Köse; Eby Friedman (2010-11-23). Power Distribution Networks with On-Chip Decoupling Capacitors (PDF). Ihome.ust.hk. ISBN 9781441978714. Retrieved 2014-07-14.
  30. ^ F. Pavlidis; Eby G. Friedman. "Three-Dimensional Integrated Circuit Design". Elsevier Inc. Retrieved 2014-07-14.
  31. ^ Emre Salman; Eby Friedman (2012-08-14). High Performance Integrated Circuit Design. McGraw Hill Professional. ISBN 9780071635752. Retrieved 2014-07-14.
  32. ^ Vaisband, Inna; Price, Burt; Köse, Selçuk; Kolla, Yesh; Friedman, Eby G.; Fischer, Jeff (2015-06-01). "Distributed LDO regulators in a 28 nm power delivery system". Analog Integrated Circuits and Signal Processing. 83 (3): 295–309. CiteSeerX 10.1.1.696.126. doi:10.1007/s10470-015-0526-y. ISSN 0925-1030. S2CID 18083154.
  33. ^ Vaisband, Inna; Friedman, Eby G. (2015). "Energy efficient adaptive clustering of on-chip power delivery systems". INTEGRATION, the VLSI Journal. 48: 1–9. doi:10.1016/j.vlsi.2014.06.003. S2CID 15215718.
  34. ^ Kazemi, M.; Ipek, E.; Friedman, E. G. (November 2014). "Adaptive Compact Magnetic Tunnel Junction Model". IEEE Transactions on Electron Devices. 61 (11): 3883–3891. Bibcode:2014ITED...61.3883K. CiteSeerX 10.1.1.696.1705. doi:10.1109/TED.2014.2359627. ISSN 0018-9383. S2CID 14660614.
  35. ^ Kvatinsky, S.; Satat, G.; Wald, N.; Friedman, E. G.; Kolodny, A.; Weiser, U. C. (October 2014). "Memristor-Based Material Implication (IMPLY) Logic: Design Principles and Methodologies". IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 22 (10): 2054–2066. CiteSeerX 10.1.1.696.2360. doi:10.1109/TVLSI.2013.2282132. ISSN 1063-8210. S2CID 166787.
  36. ^ Shapiro, Alexander; Friedman, Eby G. (2014-06-11). "MOS Current Mode Logic Near Threshold Circuits". Journal of Low Power Electronics and Applications. 4 (2): 138–152. doi:10.3390/jlpea4020138.
  37. ^ Patel, Ravi; Ipek, Engin; Friedman, Eby G. (2014-02-01). "2T–1R STT-MRAM memory cells for enhanced on/off current ratio". Microelectronics Journal. 45 (2): 133–143. doi:10.1016/j.mejo.2013.11.015. ISSN 0026-2692.
  38. ^ Kvatinsky, S.; Nacson, Y. H.; Etsion, Y.; Friedman, E. G.; Kolodny, A.; Weiser, U. C. (January 2014). "Memristor-Based Multithreading". IEEE Computer Architecture Letters. 13 (1): 41–44. CiteSeerX 10.1.1.386.4974. doi:10.1109/L-CA.2013.3. ISSN 1556-6056. S2CID 9770099.
  39. ^ Eby G. Friedman. "Clock Distribution Networks in Synchronous Digital Integrated Circuits" (PDF). Eecs.wsu.edu. Archived from the original (PDF) on 2015-06-01. Retrieved 2014-07-14.
  40. ^ Ismail, Y. I.; Friedman, E. G. (April 2000). "Effects of inductance on the propagation delay and repeater insertion in VLSI circuits". IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 8 (2): 195–206. CiteSeerX 10.1.1.134.9284. doi:10.1109/92.831439. ISSN 1063-8210.
  41. ^ Ismail, Y. I.; Friedman, E. G.; Neves, J. L. (June 1998). "Figures of merit to characterize the importance of on-chip inductance". Proceedings of the 35th annual conference on Design automation conference - DAC '98. pp. 560–565. CiteSeerX 10.1.1.32.2950. doi:10.1145/277044.277193. ISBN 978-0897919647. S2CID 5478003.
  42. ^ Haurylau, M.; Chen, G.; Chen, H.; Zhang, J.; Nelson, N. A.; Albonesi, D. H.; Friedman, E. G.; Fauchet, P. M. (November 2006). "On-Chip Optical Interconnect Roadmap: Challenges and Critical Directions". IEEE Journal of Selected Topics in Quantum Electronics. 12 (6): 1699–1705. Bibcode:2006IJSTQ..12.1699H. doi:10.1109/JSTQE.2006.880615. ISSN 1077-260X. S2CID 2720738.
Retrieved from "https://en.wikipedia.org/w/index.php?title=Eby_Friedman&oldid=1197604405"